Welcome![Sign In][Sign Up]
Location:
Search - ps2 vhdl

Search list

[VHDL-FPGA-Verilogps2mouse

Description: 用vhdl实现ps2鼠标的源程序-achieved using VHDL source ps2 mouse
Platform: | Size: 133120 | Author: 李锋 | Hits:

[Communicationps2_keyboard

Description: 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
Platform: | Size: 7168 | Author: 王晓杰 | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-Verilogps2_Code

Description: ps2接口编程实验,采用VHDL编程,用ISE开发工具-ps2 interface programming experiments using VHDL programming, development tools with ISE
Platform: | Size: 6144 | Author: 赵晗 | Hits:

[VHDL-FPGA-Verilogps2_soc2

Description: PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface.
Platform: | Size: 21504 | Author: 喻袁洲 | Hits:

[VHDL-FPGA-Verilogps2_vhdl

Description: 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
Platform: | Size: 1024 | Author: 刘音 | Hits:

[Embeded-SCM DevelopEXPT12_11_Ps2Key

Description: 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块-FPGA and SOPC based on the use of VHDL language EDA s PS/2 mouse keyboard control module
Platform: | Size: 33792 | Author: 多幅撒 | Hits:

[VHDL-FPGA-VerilogPS2

Description: Xilinx sparten3E 键盘和开发板的通信和LCD的字符显示-Xilinx sparten3E the keyboard and the development board of the Communications and character LCD display
Platform: | Size: 2048 | Author: ronghy | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[SCM006e405654b730e0857dfda923646ead

Description: Mihai Cucicea 描述:一人参加的迷宫游戏 Requires: D2SB and DIO4 with VGA monitor and PS2 Keyboard -Mihai Cucicea Description: First person to participate in the maze game Requires: D2SB and DIO4 with VGA monitor and PS2 Keyboard
Platform: | Size: 97280 | Author: wangwei | Hits:

[SCMSource

Description: PS2鼠标实验源代码,Verilog语言编写-PS2 mouse experiment source code, Verilog language
Platform: | Size: 4096 | Author: zhan | Hits:

[VHDL-FPGA-Verilogps2

Description: PS2鼠标的原码,基于单片机的,ENJOY-PS2 mouse
Platform: | Size: 111616 | Author: 陈盛宜 | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:

[VHDL-FPGA-VerilogVGA_code

Description: VGA字符显示VHDL程序 可以直接用于工程的设计与开发-VGA display characters can be directly used for VHDL design and development
Platform: | Size: 174080 | Author: 毋杰 | Hits:

[VHDL-FPGA-Verilogdds

Description: 实现dds功能,利用quartus软件, 子模块包括加法器,锁相环,date-rom 利用原图将各模块综合,利用ps2键盘控制频率及相位。-Dds realize functions, using Quartus software, sub-modules including the adder, phase-locked loop, date-rom image to the module using integrated, using ps2 keyboard to control the frequency and phase.
Platform: | Size: 2854912 | Author: lijingfeng | Hits:

[VHDL-FPGA-VerilogPS2Fpga

Description: PS2开发源代码,取自于FPGA开发板,可直接应用于实际项目中-PS2 development of source code, derived from FPGA development board can be directly applied to actual projects
Platform: | Size: 610304 | Author: 王军 | Hits:

[VHDL-FPGA-VerilogEP2C-SOURCE_CODE

Description: 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
Platform: | Size: 2994176 | Author: 鄧志明 | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[VHDL-FPGA-Verilogps2-vhdl

Description: ps2-vhdl源码 希望对大家有帮助-PS2-VHDL source code we want to help! ! ! !
Platform: | Size: 104448 | Author: xumeng | Hits:
« 1 23 4 5 6 7 8 9 10 »

CodeBus www.codebus.net